aboutsummaryrefslogtreecommitdiff
path: root/src/vga.h
diff options
context:
space:
mode:
authorJuan J. Martinez <jjm@usebox.net>2023-06-29 23:12:34 +0100
committerJuan J. Martinez <jjm@usebox.net>2023-06-29 23:12:34 +0100
commit3f7b9d71c75360207dd05b8cb67131b08f2c18ca (patch)
tree135ed5430b14b9901662f662f7f1dd72015dc21a /src/vga.h
parent623b1dfa54e77d3ca21fb64e200a32ee9a61c021 (diff)
downloadgold-mine-run-3f7b9d71c75360207dd05b8cb67131b08f2c18ca.tar.gz
gold-mine-run-3f7b9d71c75360207dd05b8cb67131b08f2c18ca.zip
Move wait_time to vga
Diffstat (limited to 'src/vga.h')
-rw-r--r--src/vga.h1
1 files changed, 1 insertions, 0 deletions
diff --git a/src/vga.h b/src/vga.h
index 7bd5779..06a69df 100644
--- a/src/vga.h
+++ b/src/vga.h
@@ -16,6 +16,7 @@ void close_framebuffer();
uint8_t set_mode(uint8_t mode);
void wait_vsync();
+void wait_frames(uint16_t frames);
/* the palette is expected to be 8 bit per color, and will be converted to VGA's 6 bit per color */
void set_palette(const uint8_t *palette);